Applied Materials, Inc.

views updated Jun 08 2018

Applied Materials, Inc.

3050 Bowers Avenue
Santa Clara, California 95054-3299
U.S.A.
Telephone: (408) 727-5555
Fax: (408) 748-9943
Web site: http://www.appliedmaterials.com

Public Company
Incorporated:
1967
Employees: 17,000
Sales: $7.34 billion (2001)
Stock Exchanges: NASDAQ
Ticker Symbol: AMAT
NAIC: 333295 Semiconductor Machinery Manufacturing; 334413 Semiconductor and Related Device Manufacturing

Applied Materials, Inc. operates as the leading manufacturer and supplier of products and services to the global semiconductor industry. The first company within the industry to surpass $1 billion in sales of semiconductor equipment, Applied Materials has 90 locations in 13 countries across the globe. In 2000, the majority of the firms sales stemmed from the Asia-Pacific region, while North America and Europe accounted for 27 percent and 15 percent of sales, respectively. The firm is involved in the manufacture of systems that execute chemical vapor deposition (CVD), physical vapor deposition (PVD), epitaxial and poly silicon deposition, rapid thermal processing (RTP), plasma etching, electrochemical plating, ion implantation, metrology, and chemical mechanical planarization (CMP). The company also produces equipment that is used for mask pattern generation, as well as systems used to manufacture flat panel displays (FPDs).

Origins and Rapid Growth: Late 1960s70s

Applied Materials was founded in 1967 to manufacture chemical vapor deposition systems for semiconductor wafer fabrication. The semiconductor industry itself, however, which makes the microcircuitry used in all electronics products, dates back to the invention of the first transistor during the early 1950s by scientists working at Bell Telephone Laboratories. With the advent of the transistor, it was possible to make electronic circuitry smaller and this, in turn, led to the manufacture of products which were lighter weight, more compact, and more energy efficient. During the late 1950s, semiconductor chip makers who initially both designed and built their own production equipment began to contract with vendors that supplied the equipment used to make their miniaturized devices. This trend helped to develop the semiconductor equipment industry. In the modern world, semiconductor manufacturing technology revolutionized the industrialized nations, providing the basis for all electronic products ranging from advanced fighter aircraft instrumentation to consumer goods such as radios and digital clocks. Indeed, it is not an overstatement to say that economies and national cultures have been dramatically affected by the semiconductor industry.

Within this historical context, Applied Materials place in the development of the semiconductor manufacturing industry was unique. From 1967 to 1973, company revenues grew at a pace of more than 40 percent annually, and its total market share of the semiconductor equipment industry reached 6.5 percent. With such rapid market expansion and such enviable financial success, in 1972 the company decided to go public. In 1974, management decided to acquire Galamar Industries, a manufacturer of silicon wafers. During the mid-1970s, however, a severe recession had a very negative effect on the entire semiconductor industry. Applied Materials was hit especially hard, suffering a 45 percent drop in sales in 1975. Despite the drop in sales, management pursued prospects for growth, entering into a joint venture with Fairchild Camera and Instrument Corporation to construct a silicon production site in the same year.

Persistent financial problems related to non-semiconductor areas throughout 1976 and 1977 necessitated both organizational and management changes. James C. Morgan, formerly a partner in a private venture capital firm and with extensive experience in management at Textrons high-technology divisions, became president and chief executive officer. Morgan immediately shut down the unprofitable Galamar Industries, sold its share in the silicon manufacturing center, and concentrated on improving its area of expertise in the semiconductor industry. In 1978, Applied Materials reported an increase in sales of approximately 17 percent. And in 1979, sales grew by a phenomenal 51 percent over the previous year.

Expansion Amid Industry Downturns: 1980s

Applied Materials, under the guidance of Morgan, continued its expansion strategy and acquired the ion implantation division of British-based Lintott Engineering, Ltd. in 1979. The company also formed Applied Materials Japan, Inc., a joint venture created to increase the companys share of the growing Japanese semiconductor equipment market. Sales reached $69.3 million in 1980, but by 1982 the company was once again hit hard by a worldwide recession in the semiconductor industry. At the end of that year, Applied Materials reported a loss of $9.4 million on total sales of $88.2 million.

The companys commitment to research and development, however, helped it weather the recession much better than many other vendors. The introduction of the AME 8100 Series Plasma Etch Systems revolutionized the dry etching of semiconductors. The quick market acceptance of this product and an agreement reached with the General Electric Venture Capital Corporation (GEVENCO) supplying a $20 million investment helped the company ride out the remainder of the recession. By 1983, the company was financially healthy once again; sales broke the $100 million mark. With 30 percent of its total sales originating from Japan, management steered a course to increase participation in the Japanese semiconductor market and started construction of a technology facility which would not only include a state-of-the-art research and development laboratory but also incorporate the most advanced technology for processing semiconductor wafers.

In 1984, increased demand for semiconductors pushed worldwide sales up a record 45 percent to approximately $26 billion, and Applied Materials benefited from this strong upturn to report sales of $168.4 million, a 60 percent surge over 1983. Yet in 1985, the cyclical nature of the semiconductor industry was again apparent when worldwide sales decreased by almost 20 percent. This downturn led to the worst recession ever for the semiconductor equipment industry and, as the recession deepened in 1986, many of the companys major customers began to reduce their equipment budgets. As a result, revenues continued to decline although Applied Materials was still performing better than most other companies in the semiconductor equipment market.

Advances in Technology: Late 1980sEarly 1990s

A large part of Applied Materials success during the recession was due to the development of leading-edge technology. In 1986, the company introduced the Precision Etch 8300A, featuring major improvements in contamination control and higher than previous levels of automation. In 1987, the company introduced the Precision 5000 CVD, a new system which met the industrys need for significant improvements in the low-temperature deposition of dielectric materials. Orders for this new technology helped Applied Materials improve its financial position, as did a public stock offering which brought in an additional $54.7 million. In the same year, James W. Bagley, Applied Materials senior vice-president of operations since 1981, with over 15 years of previous experience in engineering and project management at Texas Instruments, was appointed president and chief operating officer. Morgan, after serving 12 years as president, remained chief executive officer and chairman of the companys board of directors.

The combination of Applied Materials commitment to new product introduction and a renewed demand in the worldwide semiconductor equipment market made 1988 a record year for the company. Net sales of $362.8 million more than doubled the previous years sales figures. By continuing to introduce new products and by improving the technology and applications in its existing product lines, revenues jumped to $501.8 million in 1989. With the previous addition of a service center in Beijing, China, and a regional office in Seoul, Korea, during the mid-1980s, in 1989 the company continued to build upon its presence in the Pacific Rim with the construction of new facilities in Japan. After ten years, over 40 percent of the companys revenues were coming from the Asia/Pacific market.

New product development was the cornerstone of managements strategy for improving the companys market position in the early 1990s. In 1990, the company introduced the Endura 5500 PVD in order to enter a new market, physical vapor deposition. In 1991, the firm announced its intention to enter the market for Thin Film Transistor Liquid Crystal Display manufacturing equipment. Shipments for systems which manufacture these flat panel displays started in 1993. In 1992, Applied Materials was beginning to reap the benefits of its strategy for product introduction and its expansion in Japan and the Pacific Rim. Total revenues were reported at $751.4 million, backlog orders at $254 million, and net income at $39.5 million. The geographical distribution of sales broke down as follows: 40 percent in the United States, 30 percent in Japan, 18 percent in Europe, and 12 percent in the Pacific Rim.

In 1993, Applied Materials entered into an agreement with Komatsu, Ltd., a Japanese firm, to form a new company named Applied Komatsu Technology, Inc. The company was created in order to develop, manufacture, and market systems that were employed in producing FPDs. Operating with facilities in both the United States and Japan, it was agreed upon that company headquarters were to be established in Japan. In October 1993, the company announced its first product, the AKT 1600 PECVD, for chemical vapor deposition of thin films employed in manufacturing Thin Film Transistor structures in FPDs. The development of this technology had broad applications ranging from desktop and laptop computers to any electronic products that use high quality, color displays.

Company Perspectives:

Our mission is to be the leading supplier of semiconductor fabrication solutions worldwidethrough innovation and enhancement of customer productivity with systems, process modules, and service solutions.

The strategy of Applied Materials in establishing partnerships like the one with Komatsu proved extremely profitable for the firm. Joint ventures increased the companys market share in Japan because the new operation functioned like a Japanese firm and relied on Japanese employees to provide the manufacturing base, marketing skills, and sales techniques required to do business in that country. In addition, the intimate relationships created with valued Japanese customers helped to sell Applied Materials products when the customer decided to open a plant in the United States or somewhere overseas. The success of this strategy was the reason why nearly one-third of all Applied Materials sales involved Japanese semiconductor customers in the early 1990s.

Applied Materials continued to focus on establishing long-term relationships with users of semiconductor equipment in the 1990s and also took advantage of foreseeable trends in manufacturing technology. For example, as the semiconductor industry produced more and more circuits with smaller geometries, particulate contamination in what is called the cleanroom became a major concern requiring contaminant-free manufacturing environments. One solution to this problem of particulate contamination was the trend toward through-the-wall equipment design, where manufacturing equipment was completely encased in an airtight shell (a cleanroom environment) with only one access port which connects the equipment to the wafer fabrication facility. Applied Materials focused on developing new and highly reliable equipment for semiconductor customers to use within this cleanroom manufacturing environment.

In 1993, Applied Materials reached one of its long-term goals: it became the first company within the semiconductor equipment industry to hit the $1 billion mark in revenues. Total sales in 1993 amounted to $1.08 billion. One critical element in the companys financial success was the 13 percent of total revenue, or $140.2 million in fiscal 1993, invested in research and development. The commitment of a significant portion of its revenue to developing new technology historically provided stability and helped the company weather the cyclical periods of growth and recession in the semiconductor industry. This commitment was also recognized throughout the industry. In 1996, Morgan was awarded the National Medal of Technology by President Bill Clinton.

Continued Growth: Late 1990s and Beyond

During the mid- to late 1990s, Applied Materials continued to focus on developing new technology and creating close working partnerships with customers by means of global expansion. The company also made several key acquisitions that secured its position as the number one semiconductor equipment manufacturer in the world. In early 1997, the firm completed the purchase of Opal Inc. and Orbot Instruments Ltd., both Israel-based companies involved in inspection equipment and metrology. Morgan commented on the acquisitions in a 1996 Electronic News article, stating, Our entry into the market for metrology and inspection equipment is consistent with our long-term standing strategy of serving our global customers with a broader array of enabling technology required to economically manufacture new generations of advanced semiconductor devices.

Meanwhile however, the semiconductor industry once again found itself embroiled in a downturn, this time related to an economic crisis in Asia and oversupply and falling prices in several key industries including the personal computer market. While Applied Materials was forced to cut jobs, it continued to forge ahead. In 1998, the company opened its Equipment and Process Integration Center (EPIC) in Santa Clara, which was used to launch new products and services that supported the Copper Interconnect Equipment Set Solution (ESS). During the 1990s, copper began to replace aluminum as the main electrical conductor for the interconnect circuitry in chips. As chips became increasingly smaller, copper became more effective in carrying current through the circuitry, mainly because it had a lower resistance than aluminum and could carry more current to a smaller area.

That same year, Applied Materials also acquired Consilium Inc., a supplier of manufacturing execution system (MES) software used by the semiconductor industry. In 1999, the firm purchased the remaining interest in its joint venture with Komatsu Ltd. and also acquired Obsidian Inc., a firm whose chemical mechanical planarization technology fit into Applied Materials burgeoning product line. That year, revenue surpassed $5 billion.

Applied Materials entered the new millennium on solid ground. It made a significant purchase at the start of 2000, announcing its intent to acquire Etec Systems Inc. for nearly $1.8 billion. Upon completion of the deal, Applied Materials stood as the leader in the mask pattern generation marketthe company defines a mask pattern generation system as one that uses a laser or electron beams to write each layer of a semiconductor chips design onto a piece of chrome-coated quartz glass, which is called the mask or photomask. A series of completed masks are then used to transfer the chips design onto the semiconductor wafer.

Key Dates:

1967:
Applied Materials is established.
1972:
The firm goes public.
1979:
Sales grow 51 percent over the previous year; Applied Materials Japan is formed.
1982:
Sales drop due to a worldwide recession in the semiconductor industry.
1986:
Applied Materials introduces the Precision Etch 8300A.
1988:
Sales double over the previous year, reaching $362.8 million.
1993:
Company partners with Komatsu Ltd. to develop, manufacture, and market systems for producing flat panel displays; the companys sales exceed $1 billion.
1998:
Copmpany launches its Equipment and Process Integration Center (EPIC).
2001:
The company restructures due to a decline in the semiconductor industry.

During that time, 300mm became the new standard wafer size in the semiconductor industry, replacing 200mm wafers. As the industry shifted to manufacturing chips on 300mm wafersthese new wafers had a larger surface area and could hold 2.5 more chipsthe company launched its 300mm wafer systems product line, the broadest line in the industry. The firm expected that it would be key in the firms growth over the next five years.

The year 2000 proved to be a record year for Applied Materials. Fueled by the growth of the Internet and communications industries, the company secured $12.3 billion in new orders. Revenue reached $9.6 billion, nearly doubling over the previous year. During 2001 however, the industry entered into yet another downturn and chipmakers cut back on investments in new technology that was provided Applied Materials. As such, the firm announced that it anticipated that worldwide semiconductor capital spending would decline during 2001. The firm itself cut back on spending and began company-wide layoffs.

Applied Materials historically weathered downturns well, and continued to prepare for the next upswing. During 2001, it began a $30 million advertising campaign entitled Information for Everyone. Management eyeballed the campaign as crucial to building name recognition outside of the semiconductor industry. The firm also set plans in motion to acquire Global Knowledge Services Inc. in late 2001. Globals data mining services were expected to go hand-in-hand with Applied Materials inspection and defect reduction products. New orders for 2001 declined to $6.10 billion while sales fell to $7.34 billion.

While the slowdown in the semiconductor industry continued into early 2002, Applied Materials remained optimistic. With the worldwide semiconductor market expected to exceed $312 billion in 2003, and the market for semiconductor fabrication equipment slated to reach $38 billion by 2004, Applied Materials appeared to be well positioned for future success amid a turbulent industry.

Principal Subsidiaries

Etec Systems Inc.; Consilium Inc.; Obsidian Inc.; Applied Komatsu Technology, Inc.; Applied Materials France; Applied Materials GmbH (Germany); Applied Materials Israel Ltd.; Applied Materials Japan; Applied Materials Europe; Applied Materials Korea; Applied Materials Taiwan; Applied Materials Asia-Pacific (Singapore).

Principal Competitors

Tokyo Electron Ltd.; KLA-Tencor Corp.; Lam Research Corp.

Further Reading

Acquisition: Applied Materials to Acquire Consilium in Stock Swap, EDGE: Work-Group Computing Report, October 19,1998.

Applied Rolls Products for Copper Interconnect, Electronic News, November 9, 1998, p. 12.

Bank, David, Applied Materials to Cut Staff 15%, Salaries, Wall Street Journal, August 26, 1998, p. B6.

Chappell, Jeff, AMAT Sets Record Quarter and Year, Electronic News, November 20, 2000, p. 10.

Chipmaking: Long-Term Opportunity Is So Vivid Today, Business Week Online, July 16, 2001.

Cohen, Charles, Applied Materials Combines the Best of East and West, Electronic Business, May 6, 1991, pp. 524.

Dorsch, Jeff, Applied To Take Over AKT Venture, Electronic News, October 4, 1999, p. 2.

Erkanat, Judy, Applied Buys Opal, Orbot, Electronic News, December 2, 1996, p. 1.

, Applied Moves on 3 Product Fronts, Electronic News, June 24, 1996, p. 46.

Haber, Carol, Applied Is Feeling the Pain As Industry Slowdown Deepens, Electronic News, May 18, 1998, p. 56.

Lineback, Robert J., Applied Cuts Growth, Spending Projections, Electronic Engineering Times, February 19, 2001, p. 43.

Pitta, Julia, The Realist, Forbes, May 13, 1991, pp. 11617.

Ristelhueber, Robert, Applied Rides Acquisition Route to Dominance, Engineering Electronic Times, January 24, 2000, p. 45.

Thomas Derdak
update: Christina M. Stansell

Applied Materials, Inc.

views updated May 17 2018

Applied Materials, Inc.

P.O. Box 58039
Santa Clara, California 95052
U.S.A.
(408) 727-5555
Fax: (408) 748-9943

Public Company
Incorporated: 1967
Employees: 4,739
Sales: $1.08 billion
Stock Exchanges: NASDAQ
SICs: 3559 Special Industry Machinery

Applied Materials, Inc. is the leading manufacturer of wafer fabrication systems and services to the worldwide semiconductor industry. Applied Materials is also the first company within the industry to surpass $1 billion in sales of semiconductor equipment. With 44 sales and service offices in 13 countries and with manufacturing centers in the United States, Europe, Japan, and Israel, the company is poised to take advantage of forecasts which project that the $60 billion semiconductor equipment market in 1993 will grow to more than $110 billion by the beginning of the twenty-first century.

Applied Materials was founded in 1967 to manufacture chemical vapor deposition systems for semiconductor wafer fabrication. The semiconductor industry itself, however, which makes the microcircuitry used in all electronics products, dates back to the invention of the first transistor during the early 1950s by scientists working at Bell Telephone Laboratories. With the advent of the transistor, it was possible to make electronic circuitry smaller and this, in turn, led to the manufacture of products which were lighter weight, more compact, and more energy efficient. During the late 1950s, semiconductor chip makers who initially both designed and built their own production equipment began to contract with vendors that supplied the equipment used to make their miniaturized devices. This trend helped to develop the semiconductor equipment industry. In the modern world, semiconductor manufacturing technology has revolutionized the industrialized nations, providing the basis for all electronic products ranging from advanced fighter aircraft instrumentation to consumer goods such as radios and digital clocks. Indeed, it is not an overstatement to say that economies and national cultures have been dramatically affected by the semiconductor industry.

Within this historical context, Applied Materials place in the development of the semiconductor manufacturing industry is unique. From 1967 to 1973, company revenues grew at a pace of more than 40 percent annually, and its total market share of the semiconductor equipment industry reached 6.5 percent. With such rapid market expansion and such enviable financial success, in 1972 the company decided to go public. In 1974, management decided to acquire Galamar Industries, a manufacturer of silicon wafers. During the mid 1970s, however, a severe recession had a very negative effect on the entire semiconductor industry. Applied Materials was hit especially hard, suffering a 45 percent drop in sales in 1975. Despite the drop in sales, management pursued prospects for growth, entering into a joint venture with Fairchild Camera and Instrument Corporation to construct a silicon production site in the same year.

Persistent financial problems related to non-semiconductor areas throughout 1976 and 1977 necessitated both organizational and management changes. James C. Morgan, formerly a partner in a private venture capital firm and with extensive experience in management at Textrons high-technology divisions, became president and chief executive officer. Morgan immediately shut down the unprofitable Galamar Industries, sold its share in the silicon manufacturing center, and concentrated on improving its area of expertise in the semiconductor industry. In 1978, Applied Materials reported an increase in sales of approximately 17 percent. And in 1979, sales grew by a phenomenal 51 percent over the previous year.

Applied Materials, under the guidance of Morgan, continued its expansion strategy and acquired the ion implantation division of British-based Lintott Engineering, Ltd. in 1979. The company also formed Applied Materials Japan, Inc., a joint venture created to increase the companys share of the growing Japanese semiconductor equipment market. Sales reached $69.3 million in 1980, but by 1982 the company was once again hit hard by a worldwide recession in the semiconductor industry. At the end of that year, Applied Materials reported a loss of $9.4 million on total sales of $88.2 million.

The companys commitment to research and development, however, helped it weather the recession much better than many other vendors. The introduction of the AME 8100 Series Plasma Etch Systems revolutionized the dry etching of semiconductors. The quick market acceptance of this product and an agreement reached with the General Electric Venture Capital Corporation (GEVENCO) supplying a $20 million investment helped the company ride out the remainder of the recession. By 1983, the company was financially healthy once again; sales broke the $100 million mark. With 30 percent of its total sales originating from Japan, management steered a course to increase participation in the Japanese semiconductor market and started construction of a technology facility which would not only include a state-of-the-art research and development laboratory but also incorporate the most advanced technology for processing semiconductor wafers.

In 1984, increased demand for semiconductors pushed worldwide sales up a record 45 percent to approximately $26 billion, and Applied Materials benefitted from this strong upturn to report sales of $ 168.4 million, a 60 percent surge over 1983. Yet in 1985, the cyclical nature of the semiconductor industry was again apparent when worldwide sales decreased by almost 20 percent. This downturn led to the worst recession ever for the semiconductor equipment industry and, as the recession deepened in 1986, many of the companys major customers began to reduce their equipment budgets. As a result, revenues continued to decline although Applied Materials was still performing better than most other companies in the semiconductor equipment market.

A large part of Applied Materials success during the recession was due to the development of leading-edge technology. In 1986, the company introduced the Precision Etch 8300A, featuring major improvements in contamination control and higher than previous levels of automation. In 1987, the company introduced the Precision 5000 CVD, a new system which meets the industry need for significant improvements in the low-temperature deposition of dielectric materials. Orders for this new technology helped Applied Materials improve its financial position, as did a public stock offering which brought in an additional $54.7 million. In the same year, James W. Bagley, Applied Materials senior vice-president of operations since 1981, with over 15 years of previous experience in engineering and project management at Texas Instruments, was appointed president and chief operating officer. Morgan, after serving 12 years as president, remained chief executive officer and chairman of the companys board of directors.

The combination of Applied Materials commitment to new product introduction and a renewed demand in the worldwide semiconductor equipment market made 1988 a record year for the company. Net sales of $362.8 million more than doubled the previous years sales figures. By continuing to introduce new products and by improving the technology and applications in its existing product lines, revenues jumped to $501.8 million in 1989. With the previous addition of a service center in Beijing, China, and a regional office in Seoul, Korea, during the mid-1980s, in 1989 the company continued to build upon its presence in the Pacific Rim with the construction of new facilities in Japan. After 10 years, over 40 percent of the companys revenues were coming from the Asia/Pacific market.

New product development was the cornerstone of managements strategy for improving the companys market position in the early 1990s. In 1990, the company introduced the Endura 5500 PVD in order to enter a new market, physical vapor deposition. In 1991, the firm announced its intention to enter the market for Thin Film Transistor Liquid Crystal Display manufacturing equipment. Shipments for systems which manufacture these flat panel displays started in 1993. In 1992, Applied Materials was beginning to reap the benefits of its strategy for product introduction and its expansion in Japan and the Pacific Rim. Total revenues were reported at $751.4 million, backlog orders at $254 million, and net income at $39.5 million. The geographical distribution of sales broke down as follows: 40 percent in the United States, 30 percent in Japan, 18 percent in Europe, and 12 percent in the Pacific Rim.

In 1993, Applied Materials entered into an agreement with Komatsu, Ltd., a Japanese firm, to form a new company named Applied Komatsu Technology, Inc. The company was created in order to develop, manufacture, and market systems that are employed in producing Flat Panel Displays. Operating with facilities in both the United States and Japan, it was agreed upon that company headquarters were to be established in Japan. In October 1993, the company announced its first product, the AKT 1600 PECVD, for chemical vapor deposition of thin films employed in manufacturing Thin Film Transistor structures in Flat Panel Displays. The development of this technology has broad applications ranging from desktop and laptop computers to any electronic products that use high quality, color displays.

The strategy of Applied Materials in establishing partnerships like the one with Komatsu has been extremely profitable for the firm. Joint ventures increase the companys market share in Japan because the new operation functions like a Japanese firm and relies on Japanese employees to provide the manufacturing base, marketing skills, and sales techniques required to do business in that country. In addition, the intimate relationships created with valued Japanese customers help sell Applied Materials products when the customer decides to open a plant in the U.S. or somewhere overseas. The success of this strategy is the reason why nearly one-third of all Applied Materials sales involve Japanese semiconductor customers.

Not only will Applied Materials continue to focus on establishing long-term relationships with users of semiconductor equipment, but it also intends to take advantage of foreseeable trends in manufacturing technology. For example, as the semiconductor industry produces more and more circuits with smaller geometries, particulate contamination in what is called the clean-room will become a major concern requiring contaminant-free manufacturing environments. One solution to this problem of particulate contamination is the trend toward through-the-wall equipment design, where manufacturing equipment is completely encased in an airtight shell (a cleanroom environment) with only one access port which connects the equipment to the wafer fabrication facility. Applied Materials is developing new and highly reliable equipment for semiconductor customers to use within this cleanroom manufacturing environment.

In 1993, Applied Materials reached one of its long-term goals: it became the first company within the semiconductor equipment industry to hit the $1 billion mark in revenues. Total sales in 1993 amounted to $1.08 billion. One critical element in the companys financial success is the 13 percent of total revenue, or $140.2 million in fiscal 1993, invested in research and development. The commitment of a significant portion of its revenue to developing new technology has provided stability and helped the company weather the cyclical periods of growth and recession in the semiconductor industry. A continued emphasis on developing new technology, along with a strategy of creating close working partnerships with customers by means of a global presence, makes Applied Materials the company to watch in the semiconductor manufacturing industry.

Further Reading:

Pitta, Julia, The Realist, Forbes, May 13, 1991, pp. 116-117.

Cohen, Charles, Applied Materials Combines the Best of East and West, Electronic Business, May 6, 1991, pp. 52-54.

Thomas Derdak

About this article

Applied Materials Inc

All Sources -
Updated Aug 08 2016 About encyclopedia.com content Print Topic