CHDL

views updated Jun 27 2018

CHDL Abbrev. for computer hardware description language. A formal language with a lexicon that enables the nomination of the individual logical or physical elements of a computer. It has a syntax to enable a description of the way such elements are interconnected and the way they behave to provide the structure that is capable of performing a computation. The behavior of these elements is described as the sequence in which they change their state to enable the structure to perform the function. CHDLs in use include VHDL. See also register transfer language, ISP, CONLAN.

CHDL

views updated Jun 11 2018

CHDL computer hardware description language